Maker Pro
Maker Pro

master-slave flip flop vs edge triggered flip flop.

hi all,
I want to know the advantages of edge triggered flip flop over master-
slave flip flop.
Please let me know this.
thanks in advance.
 
M

MooseFET

Jan 1, 1970
0
hi all,
I want to know the advantages of edge triggered flip flop over master-
slave flip flop.
Please let me know this.
thanks in advance.


It is politically incorrect to have one flip-flop be a slave to
another so you can keep yourself out of trouble by calling them edge
triggered.
 
M

MooseFET

Jan 1, 1970
0
<Smirk>

Except an "edge-triggered" flip-flop IS "master-slave".

Not if resistors, capacitors, and inductors are involved in the input
section. The first stage is a half shot not a flip flop.
 
Hi all,
Thanks for answers.
Sorry I will reframe my Question.

" advantages of edge triggered flip flop over master-
slave Latch set up"

thanks in advance..
 
P

petrus bitbyter

Jan 1, 1970
0
Jim Thompson said:
<Smirk>

Except an "edge-triggered" flip-flop IS "master-slave".

...Jim Thompson
--
| James E.Thompson, P.E. | mens |
| Analog Innovations, Inc. | et |
| Analog/Mixed-Signal ASIC's and Discrete Systems | manus |
| Phoenix, Arizona 85048 Skype: Contacts Only | |
| Voice:(480)460-2350 Fax: Available upon request | Brass Rat |
| E-mail Icon at http://www.analog-innovations.com | 1962 |

America: Land of the Free, Because of the Brave

Technically you're right. But is is also politically incorrect to go too
deep into this question.
(Too deep is beyond the point that politicians can or will understand.:)

petrus bitbyter
 
M

MooseFET

Jan 1, 1970
0
Huh? Please post a schematic of an edge-triggered flip-flop. I'll
make it easy on you... you are allowed to use a PECL/ECL configuration
;-)

I was refering to a MML[1] flip-flop design.

Posting it in ascii art is a little beyond what I'm willing to do but
I will give you the input section from memory:


22p !/
Clock ----!!------+------+-----!
! ! !\e
330R \ --- !
/ ^ 0v
\ !
! 0v
)
22u )
)
!
0V






[1] MML = Mickey Mouse Logic
 
P

petrus bitbyter

Jan 1, 1970
0
John Larkin said:
No. Not all edge-triggered flipflops have the master-slave
architecture. And not all master-slave flops are edge-triggered; one
could design a m/s level-sensitive transparent latch.

Master-slave is an internal architecture. D, T, JK, RS,
level-sensitive, edge triggered, are all external behaviors.

The DDR flops in Xilinx io blocks are interesting; they have two
edge-sensitive clocks. That must be interesting to implement; it can't
be classic master/slave.

I used to design flipflops that used only resistors, capacitors, and
diodes.

John

I know, I know. But as I wanted to stay politically correct I could not say
so :)

petrus bitbyter
 
K

krw

Jan 1, 1970
0
To-Email- said:
Jim said:
On Thu, 29 May 2008 08:52:44 -0700, John Larkin

On Thu, 29 May 2008 08:04:08 -0700, Jim Thompson

[snip]

See...

http://analog-innovations.com/SED/ToggleFlopAncient.pdf

...Jim Thompson


Speaking of flip-flops, you might enjoy this:

http://www.nypost.com/seven/0529200...ir_cam_erica_guy__arrested_in_guam_112983.htm

John

Delighted!

Hopefully Al Franken's candidacy will be damaged.


Why? I thought he was running for 'The biggest idiot on earth'?

Yes... but only in Minnesota...

He's already won everywhere else.

Air America is some piece of work, eh?
 
P

Paul

Jan 1, 1970
0
I ran into this dilemma when using 74LS76's and 74LS76A's for a
student lab. The TI datasheet is at:
www.df.unipi.it/~flaminio/laboratori/pdf_files/SN7476.pdf
This chip comes in two versions, master-slave (74LS76), and
negative edge triggered 74LS76A).
The description at the beginning tells you that when the clock is
high, J.K inputs are loaded into the master. On the high-low
transition the outputs are transferred to the slave.
The end result is that your data must be stable for while the clock
is high (master-slave version), whereas it must be stable for one
"setup time" (20ns for 74LS76A) before the negative clock transition.
Most people would want the edge triggered version, keeping inputs
stable while clock is high (master-slave) would be more of a pain.

-Paul
 
Top