Maker Pro
Maker Pro

16 bit eeprom

hi everyone
i need 16 bit parallel eeprom. Anyone know where i can find this IC?
if there's no 16 bit parallel eeprom, can i cascade 2 8bit parallel
eeprom?
i need this eeprom to make sinusoidal signal, i'll combine this eeprom
with 16bit dac.
any other suggestion?
 
D

Deefoo

Jan 1, 1970
0
hi everyone
i need 16 bit parallel eeprom. Anyone know where i can find this IC?
if there's no 16 bit parallel eeprom, can i cascade 2 8bit parallel
eeprom?
i need this eeprom to make sinusoidal signal, i'll combine this eeprom
with 16bit dac.
any other suggestion?

Yes, you can use two 8-bit eproms to make a 16-bit one. How many bytes do
you need?

--DF
 
C

Chris

Jan 1, 1970
0
hi everyone
i need 16 bit parallel eeprom. Anyone know where i can find this IC?
if there's no 16 bit parallel eeprom, can i cascade 2 8bit parallel
eeprom?
i need this eeprom to make sinusoidal signal, i'll combine this eeprom
with 16bit dac.
any other suggestion?

Hi. First, why do you need an EEPROM (electrically eraseable) when an
EPROM (electrically programmable/UV eraseable) will do -- after all,
the data for a sine wave isn't going to change or need to be
reprogrammed on the fly.

If you need a 16-bit wide data space with 2^16 (64K) positions, get two
27C512 EPROMs (64K X 8) and program one with the high data bytes, one
with the low data bytes. Of course, make sure to deal with the control
lines, too.

http://focus.ti.com/lit/ds/symlink/tms27c512.pdf

Good luck
Chris
 
hi everyone
i need 16 bit parallel eeprom. Anyone know where i can find this IC?
if there's no 16 bit parallel eeprom, can i cascade 2 8bit parallel
eeprom?
i need this eeprom to make sinusoidal signal, i'll combine this eeprom
with 16bit dac.
any other suggestion?

You won't need 16 bits, thats for certain, 8 is most likely enough with
a little low pass filtering. Should you still feel the urge to go above
8 bits you can do this with 1 eight bit eprom and a latch on the data
output.
 
C

Chris

Jan 1, 1970
0
You won't need 16 bits, thats for certain, 8 is most likely enough with
a little low pass filtering. Should you still feel the urge to go above
8 bits you can do this with 1 eight bit eprom and a latch on the data
output.

Right. As a practical matter, 16 bits is overkill for sine wave
generation.

It has a number of practical disadvantages, too. For a 16-bit
waveform, you'll have to run the counter clock at 65536 times the sine
wave output frequency. Even a faster EPROM with 100ns access will give
you a maximum output frequency of only 152 Hz. That also assumes you
don't have any issues with the speed of the DAC, which may slow you
down more..

You'll probably find that a real world "16-bit" DAC will only give you
13 or 14 bits of resolution. Even if the output is monotonic (i.e. the
output is guaranteed to increase when the digital input increases),
internals in the DAC will hurt you here.

Unless you're looking at testing the 16-bit DAC (this might be
educational, if you've got a scope with delay), it might be better to
go with the above advice, and use a 12-bit DAC with some filtering.

Good luck
Chris
 
wow, thanx for reply, its very helpfull for me and give me some
consideration about my project.
I'm sorry about my english before, its not grammatical and very bad i
think.
OK this my real problem.
I need to make 9 phase sinusoidal wave generator. This wave generator
can also be operated on 5 phase. Frequency for this system is on the
range about 0 Hz till 150 Hz.
I have two plans to solve this problem
First i'll use VCO to generate clock for one counter which serve
address data for 9 eeprom. So each eeprom will contain data for each
phase of sinus. Data from eeprom is then converted to analog with dac.
The problem in this system is i can't switch my 9 phase system to 5
phase system, because the data in eeprom is fixed.
Second, i'll use microcontroller as clock generator for counters, there
are nine counters to serve nine address eeprom. So each eeprom will
contain similar data that is one sinusoidal data.The phase control is
arranged by microcontroller.
The reason why i use 16-bit system is for precision. Any comment for
that?
 
C

Chris

Jan 1, 1970
0
wow, thanx for reply, its very helpfull for me and give me some
consideration about my project.
I'm sorry about my english before, its not grammatical and very bad i
think.
OK this my real problem.
I need to make 9 phase sinusoidal wave generator. This wave generator
can also be operated on 5 phase. Frequency for this system is on the
range about 0 Hz till 150 Hz.
I have two plans to solve this problem
First i'll use VCO to generate clock for one counter which serve
address data for 9 eeprom. So each eeprom will contain data for each
phase of sinus. Data from eeprom is then converted to analog with dac.
The problem in this system is i can't switch my 9 phase system to 5
phase system, because the data in eeprom is fixed.
Second, i'll use microcontroller as clock generator for counters, there
are nine counters to serve nine address eeprom. So each eeprom will
contain similar data that is one sinusoidal data.The phase control is
arranged by microcontroller.
The reason why i use 16-bit system is for precision. Any comment for
that?

If English is your second language, you're doing far better than I
could.

If I had to do something like this, I would try like heck to get the
spec lowered to 15 bits (c'mon, that's only 150 _microvolts_ per step
for a 5V p.p. signal -- who needs even that much precision?), and then
use the 16th bit on each of the 27C512s to switch over between the
9-phase and 5-phase data array. That way, you would have high byte and
low 7 bits of one output (either 9-phase or 5-phase, depending on the
high bit) on two EPROMSs. You could then have your standard DAC
output. Of course, four of your EPROMSs would have all zeroes
programmed in on the 5-phase output.

Here's what I mean.

0x0000 - 0x7FFF = 9 phase data for one output
0x8000 - 0xFFFF = 5 phase data for one output

A15 on the EPROM is used to switch between 9-phase and 5-phase.

This means that you're going to use a VCO (1 IC plus passive
components), a 15-bit binary up-counter (2 ICs), 18 EPROMs and 9 DACs.
You could use a switch, a logic input, or a microcontroller output port
pin for the control of the 9- or 5-phase bit on the EPROMs. I wouldn't
add another eight counters, that will only make your 30 IC Acres O'
Chips bigger if this is a real-world project. But there are other less
wasteful ways to do this, particularly if you've got a microcontroller
or are willing to accept somewhat lower resolution on your DAC.

Good luck
Chris
 
thanx once again for reply.
i've another problem
16 bit DAC is not common component here, i couldn't find this IC.
TMS27C512 EPROM is so expensive here, i guess this not common component
too. Winbond EPROM cheaper.I don't understand why these two ICs' prices
are awfully significant. Is texas instrument quality better than
winbond?
Do you really think that 8-bit system is enough for sinus wave
generator?
about problem that i have to run the counter clock at 65536 times the
sine
wave output frequency, i think its depend on how much the data or
sampling of sinus. If i just use 20000 data, its mean that i only have
to run the counter 20000 right? The precision that i need is the value
of quantitation of sinus. 16 bit is better than 8 bit right, especially
when i want to analize an extreme condition like near zero condition of
sinus or on peak value of sinus. Do you understand what i mean? (I hope
my write isn't wrong)
 
;edit version :)
thanx once again for reply.
i've got another problem
16 bit DAC is not a common component here, i couldn't find this IC.
On the other hand, TMS27C512 EPROM is so expensive around here, i guess
this not a common component either. Winbond EPROM is cheaper. I don't
understand why these two ICs' prices are awfully significant. Is texas
instrument's quality better than winbond's?
Do you really think that 8-bit system is enough for sinus wave
generator?
about problem that i have to run the counter clock at 65536 times the
sine
wave output frequency, i think it depends on how much the data or
sampling of sinus. If i just use 20000 data, it means that i only have
to run the counter 20000 times right?I need a precise quantification of
sinus. I suppose 16 bit is better than 8 bit, especially especially
when i want to analyze an extreme condition like near zero condition of
sinus or on peak value of sinus.
btw, thanx for idea to separate eprom, its brilliant idea. :)
 
C

Chris

Jan 1, 1970
0
;edit version :)
thanx once again for reply.
i've got another problem
16 bit DAC is not a common component here, i couldn't find this IC.
On the other hand, TMS27C512 EPROM is so expensive around here, i guess
this not a common component either. Winbond EPROM is cheaper. I don't
understand why these two ICs' prices are awfully significant. Is texas
instrument's quality better than winbond's?
Do you really think that 8-bit system is enough for sinus wave
generator?
about problem that i have to run the counter clock at 65536 times the
sine
wave output frequency, i think it depends on how much the data or
sampling of sinus. If i just use 20000 data, it means that i only have
to run the counter 20000 times right?I need a precise quantification of
sinus. I suppose 16 bit is better than 8 bit, especially especially
when i want to analyze an extreme condition like near zero condition of
sinus or on peak value of sinus.
btw, thanx for idea to separate eprom, its brilliant idea. :)

Hi. Reality tends to intervene in these things. 16-bit DACs are
_very_ expensive. 12-bit DACs are more reasonable in cost.

You really haven't described the end use of this 9-phase/5-phase sine
wave generator, so we can't really give you good advice on the
resolution you need.

IN order to utilize the investment you make in a DAC, the count should
be equivalent to the resolution. If you've got a 16-bit DAC, you
should use a count of 2^16 = 64K. If you've got a 12-bit DAC, 2^12 =
4096 or 4K. For an 8-bit DAC, 2^8 or 256. Obviously, the greater the
count, the greater the practical limitation on output frequency.

Since you're mentioning Winbond, I would hazard a guess that it's an
audio application. 12 bits sounds like more than enough for anything
audio. Remember that your distortion coming from the DAC is going to
be based in large part on the resolution. 12 bits means a count of
4096. This means that you'll have a count step of just a little over 1
millivolt for a 5V p.p. signal. That's really trivial for audio.

2764 and 27C64 100ns EPROMs are also dirt-cheap, and will provide you
with an 8K X 8 data space. If you go with those and a 12-bit DAC, you
can use the same bank switching scheme (top bit A12 is the switch
between 9-phase and 5-phase) to reduce the cost and shrink your "Acres
O' Chips" by a bit like this (view in fixed font or M$ Notepad):

| .-------------. .--------------. .--------------.
| | | | | | |
| | 27C64 | | 27C64 | | 27C64 | . . .
| | | | | | |
| '---------o---' '--o---------o-' '-------o------'
| | | | |
| 8/ 4/ 4/ 8/
| | | | |
| .---o----------o---. .-o-------------o---.
| | | | |
| | 12-bit DAC | | 12-bit DAC | . . .
| | | | |
| '------------------' '-------------------'
(created by AACircuit v1.28.5 beta 02/06/05 www.tech-chat.de)

Since you've got an odd number of DACs, you'll be left with a half-used
EPROM, but this will get the number of EPROMs down from 18 to 14, so
your total number of ICs on board should be reduced to 26 from 30.

If nanosecond-level glitches from the counter are a problem, you'll
also have to put together a strobe which follows the clock, to grab
data coming from the counter after the count is set. But you also
might just want to use a little output filtering on the DAC to knock
this down.

Again, this is a brute-force method which is not elegant at all. There
are better ways to do this, particularly if you have a microcontroller
available. But in order to get help, you have to provide more
information. The more the better. If a couple of minutes with a piece
of paper writing down your project requirements and another couple of
minutes typing it in will save you a dozen hours on the bench and
reduce your project cost, it might be worth it.

Good luck
Chris
 
R

Rich Grise

Jan 1, 1970
0
wow, thanx for reply, its very helpfull for me and give me some
consideration about my project.
I'm sorry about my english before, its not grammatical and very bad i
think.
OK this my real problem.
I need to make 9 phase sinusoidal wave generator. This wave generator can
also be operated on 5 phase. Frequency for this system is on the range
about 0 Hz till 150 Hz.
I have two plans to solve this problem First i'll use VCO to generate
clock for one counter which serve address data for 9 eeprom. So each
eeprom will contain data for each phase of sinus. Data from eeprom is then
converted to analog with dac. The problem in this system is i can't switch
my 9 phase system to 5 phase system, because the data in eeprom is fixed.
Second, i'll use microcontroller as clock generator for counters, there
are nine counters to serve nine address eeprom. So each eeprom will
contain similar data that is one sinusoidal data.The phase control is
arranged by microcontroller.
The reason why i use 16-bit system is for precision. Any comment for that?

Yes, but not on the 16-bits issue - you don't need 9 eproms, or even 5.

What you need is one eprom, nine latches, and a little clever programming.

You would run nine concurrent "processes", which are basically ring buffer
counters, offset from each other by 1/9 full-scale, so to speak. For the
five-phase, you just use the first 5 latches, and set your first 5
processes offset 1/5 full-scale from each other, and set the other 4 idle.

You'll still need the 9 DACs, however.

Sounds like a fun project!

Good Luck!
Rich
 
J

John Fields

Jan 1, 1970
0
wow, thanx for reply, its very helpfull for me and give me some
consideration about my project.
I'm sorry about my english before, its not grammatical and very bad i
think.
OK this my real problem.
I need to make 9 phase sinusoidal wave generator. This wave generator
can also be operated on 5 phase. Frequency for this system is on the
range about 0 Hz till 150 Hz.
I have two plans to solve this problem
First i'll use VCO to generate clock for one counter which serve
address data for 9 eeprom. So each eeprom will contain data for each
phase of sinus. Data from eeprom is then converted to analog with dac.
The problem in this system is i can't switch my 9 phase system to 5
phase system, because the data in eeprom is fixed.
Second, i'll use microcontroller as clock generator for counters, there
are nine counters to serve nine address eeprom. So each eeprom will
contain similar data that is one sinusoidal data.The phase control is
arranged by microcontroller.
The reason why i use 16-bit system is for precision. Any comment for
that?

---
If I were doing this project, the first thing I'd do would be to
determine what the interval between samples would be and what the
amplitude resolution would need to be.

Assuming that a 1° step would be adequate for the purpose means that
I'd need 360 EPROM addresses to step through, and if an amplitude
resolution of 1 part in 255 would be enough, an 8 bit DAC would
work.

Now, having 9 phases being output simultaneously, in real time,
means that I'll need 9 DACs and some way to hold onto the data as
it's coming out of the EPROM and time it appropriately. This will
work:


+-------------------+
HFCK>--|> COUNT |
| Q Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+-+
| | | | | | | | |
| | | | | | | | |
+-+-+-+-+-+-+-+-+-+-+
| A A A A A A A A A |
| LUT |
| D D D D D D D D |
+--+-+-+-+-+-+-+-+--+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT9
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT8
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT7
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT6
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT5
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT4
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT3
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT2
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH1>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT1
+-|-|-|-|-|-|----------|D |
+-|-|-|-|-|----------|D |
+-|-|-|-|----------|D |
+-|-|-|----------|D |
+-|-|----------|D |
+-|----------|D |
+----------|D |
PH1---|> |
+------+

The counter shown has a syncronous preset and presets to 360 when it
reaches 0 + 1 phase 9 clock, and the clocks would look like this:
_
PH1__| |_____________________________________________
_
PH2____| |____________________________________________
_
PH3______| |__________________________________________
_
PH4________| |________________________________________
_
PH5__________| |______________________________________
_
PH6____________| |____________________________________
_
PH7______________| |__________________________________
_
PH8________________| |________________________________
_
PH9__________________| |______________________________
_
PH1____________________| |____________________________

OK, what about the counter clock?

For a 150Hz sinewave out of the DACs it would have to be going 360
times faster than 150 Hz, or 54kHz. Easy.

54kHz is about about 18.52µs

The phase clocks would have a period of 1/150Hz, or about 6.67ms,
but since there would be nine of them per cycle their adjacent
leading-edge-to-leading-edge spacing would have to be 1/9th of that,
or about 741µs. Duck soup, and easy to do by counting down HFCK
appropriately and running that signal through a 9 bit Johnson
counter.

Seems like it would be easy to modify the system to work as a 5
phase generator, but my brain hurts.
 
J

John Fields

Jan 1, 1970
0
Now, having 9 phases being output simultaneously, in real time,
means that I'll need 9 DACs and some way to hold onto the data as
it's coming out of the EPROM and time it appropriately. This will
work:

---
Aarghhh!!!

No, it won't. I cut and pasted the blocks and forgot to number the
phase clocks properly.

It should read like this:


+-------------------+
HFCK>--|> COUNT |
| Q Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+-+
| | | | | | | | |
| | | | | | | | |
+-+-+-+-+-+-+-+-+-+-+
| A A A A A A A A A |
| LUT |
| D D D D D D D D |
+--+-+-+-+-+-+-+-+--+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT9
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH8>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT8
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH7>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT7
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH6>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT6
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH5>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT5
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH4>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT4
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH3>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT3
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH2>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT2
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH1>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT1
+-|-|-|-|-|-|----------|D |
+-|-|-|-|-|----------|D |
+-|-|-|-|----------|D |
+-|-|-|----------|D |
+-|-|----------|D |
+-|----------|D |
+----------|D |
PH1---|> |
+------+
 
J

John Fields

Jan 1, 1970
0
---
If I were doing this project, the first thing I'd do would be to
determine what the interval between samples would be and what the
amplitude resolution would need to be.

Assuming that a 1° step would be adequate for the purpose means that
I'd need 360 EPROM addresses to step through, and if an amplitude
resolution of 1 part in 255 would be enough, an 8 bit DAC would
work.

Now, having 9 phases being output simultaneously, in real time,
means that I'll need 9 DACs and some way to hold onto the data as
it's coming out of the EPROM and time it appropriately. This will
work:

---
after repairing it:


+-------------------+
PH1>---|> COUNT |
| Q Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+-+
| | | | | | | | |
| | | | | | | | |
+-+-+-+-+-+-+-+-+-+-+
| A A A A A A A A A |
| LUT |
| D D D D D D D D |
+--+-+-+-+-+-+-+-+--+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH9>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT9
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH8>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT8
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH7>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT7
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH6>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT6
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH5>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT5
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH4>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT4
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH3>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT3
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH2>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT2
| +-|-|-|-|-|-|----------|D |
| | +-|-|-|-|-|----------|D |
| | | +-|-|-|-|----------|D |
| | | | +-|-|-|----------|D |
| | | | | +-|-|----------|D |
| | | | | | +-|----------|D |
| | | | | | | +----------|D |
| | | | | | | | PH1---|> |
| | | | | | | | +------+
| | | | | | | |
| | | | | | | |
+-+-+-+-+-+-+-+-+-+
| D D D D D D D D |
PH1>----|> LATCH |
| Q Q Q Q Q Q Q Q |
+-+-+-+-+-+-+-+-+-+
| | | | | | | | +------+
+-|-|-|-|-|-|-|----------|D OUT|-->OUT1
+-|-|-|-|-|-|----------|D |
+-|-|-|-|-|----------|D |
+-|-|-|-|----------|D |
+-|-|-|----------|D |
+-|-|----------|D |
+-|----------|D |
+----------|D |
PH1---|> |
+------+

The clocks look like this:
_
PH1__| |_____________________________________________
_
PH2____| |____________________________________________
_
PH3______| |__________________________________________
_
PH4________| |________________________________________
_
PH5__________| |______________________________________
_
PH6____________| |____________________________________
_
PH7______________| |__________________________________
_
PH8________________| |________________________________
_
PH9__________________| |______________________________
_
PH1____________________| |____________________________

For a 150Hz output and 360 addresses in the EPROM, the time between
a leading edge to the next leading edge of any phase clock will be:

1
T = ------------- = 18.52µs
360 * 150Hz

However, since there are 9 phases, the time from the leading edge of
one phase clock to the leading edge of the next phase clock will
have to be 1/9 that, or about 2.06µs. Like this:


T0-->|
| |<--2.06µs
_
PH1__| |_____________________________________________
_
PH2____| |____________________________________________
_
PH3______| |__________________________________________
_
PH4________| |________________________________________
_
PH5__________| |______________________________________
_
PH6____________| |____________________________________
_
PH7______________| |__________________________________
_
PH8________________| |________________________________
_
PH9__________________| |______________________________
_
PH1____________________| |____________________________

|<--- 18.52µs --->|
T0-->|


Anyway, it occurred to me that someone might make DACs with
integrated latches and /or data registers so I went looking and
found that Analog Devices makes a whole bunch of exactly that with
multiple DACs in the package as well, so that seems like the way to
go. In particular, their AD5334, a quad DAC, looks pretty good.
 
Oh my
I'm sorry for not telling you all my complete problem, i don't expect
that my problem really complex.
Ok these are my problem.
I'm doing final project now, its about multiphase system.
I want to analyze ripple generated from power inverter. Inverter i must
build is 9 phase inverter and the control method i used is PWM method.
So i need to generate 9 phase sinusoidal signal and one triangular
signal. Then this two signal are compared and the result is used to
switch the IGBT power switch. That's all.
 
Top